Open Access Open Access  Restricted Access Subscription or Fee Access

Atomic Layer Etching and its Characteristic

R K Bhardwaj

Abstract


Atomic layer etching (ALE) is most advanced etching technique for removing thin layer of material at the atomic level using sequential reaction steps that are self limiting. ALE is thousand times faster as compared of approaches like Reactive ion etching (RIE) & others. ALE with thermal technique, the researchers fabricated 3-D transistors that are as narrow as 2.5 nanometers and more efficient than their commercial counterparts. Consider the etching approaches and their limitations of RIE and others. As part of this review paper, a characteristic of ALE and their scope for various material etching in current era. Keyword: Atomic layer etching (ALE), Reactive ion etching (RIE), Plasma etching and Electron Cyclotron Resonance (ECR)

Full Text:

PDF

References


G. E. Moore, Cramming More Components onto Integrated Circuits, Electronics, April 1965, pp. 114-117.

K. Eriguchi and K. Ono, J. Phys. D 41, 024002 (2008).

T. Ivanov et al., Jpn. J. Appl. Phys. 53, 04EC20 (2014).

Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R. A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol., A 2015, 33, 020802.

A. Agarwal and M. J. Kushner, J. Vac. Sci. Technol. A 27, 37 (2009).

K. J. Kanarik, S. Tan, J. Holland, A. V. V. Eppler, J. Marks, and R. A. Gottscho, Solid State Technol. 56, 14 (2013).

Matsuo P J, Kastenmeier B E E, Oehrlein G S & Langan J G, J Vac Sci Technol, A 17 (5)

(1999) 2431.

Joubert O, Oehrlein G S & Surendra M, J Vac Sci Technol, A 12 (30) (1994) 665.

Joubert O, Oehrlein G S, & Zhang Y, J Vac Sci Technol, A 12 (3) (1994) 658.

Joubert O, Oehrlein G S, Surendra M & Zhang Y, J Vac Sci Technol, A 12 (4) (1994) 1957.

Kastenmeier B E E, Matsuo P J, Oehrlein G S, Ellefson R E & Frees L C, J Vac. Sci

Technol, A 19 (1) (2001) 25.

Beulens J J, Kastenmeier B E E, Matsuo P J & Oehrlein G S, Appl Phys Lett 60, 20

(1995) 2634.

Samukawa S, Jpn J Appl Phys, 33 (1994) 2133.

Tsujimoto K, Kumihashi T, Kofuji N & Tachi S, J Vac Sci Technol, A 12 (1994) 1209.

R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci.Technol. B 10, 2133 (1992).

J. W. Coburn and H. F. Winters, J. Appl. Phys. 50, 3189 (1979).

V. M. Donnelly and A. Kornblit, J. Vac. Sci. Technol. A 31, 050825 (2013).

M. A. Lieberman, AVS 60th International Symposium and Exhibition, Long Beach, CA, 2013.

R. A. Gottscho and K. J. Kanarik, APS 64th Annual GEC, Salt Lake City, UT, 2011.

S. Hwang and E. Tonnis, Advanced Semiconductor Manufacturing Conference (ASMC),

Saratoga Springs, New York, 2014.

G. Cunge, B. J. O. Pelissier, R. Ramos, and C. Maurice, Plasma Sources Sci. Technol. 14,

(2005).

Ono, K.; Nakazaki, N.; Tsuda, H.; Takao, Y.; Eriguchi, K. Surface Morphology

Evolution During Plasma Etching of Si: Roughening, Smoothing and Ripple Formation.

J. Phys. D: Appl. Phys. 2017, 50, 414001.

R. K. Bhardwaj, S. K. Angra, R. P. Bajpai, Madan Lal and Lalit M. Bhardwaj Electron Cyclotron

Resonance Based Chemically Assisted Plasma Etching Of Silicon in CF4/Ar Plasma AIP

Conference Proceedings Volume 788, Issue 1, pp. 343-348, 2005 ISSN 0094243X.

S. Nunomura, M. Kondo and H. Akatsuka, 15 783-789 Plasma Sources Sci. Technol.2006.

D. Daineka, P. Bulkin, G. Girard, J.-E. Bourée and B. Drévillon, J. Appl. Phys. 26, 3-9 (2004).

R Fischer New J. Phys. 6, 25, 2004

D. B. Hash, M. S. Bell, K. B. K. Teo, B. A. Cruden, W. I. Milne and M. Meyyappan

NAS Technical Report NAS-05-002, March 2005.

George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110, 111−131.

Puurunen, R. L. Surface Chemistry of Atomic Layer Deposition: A Case Study for the

Trimethylaluminum/Water Process. J. Appl. Phys. 2005, 97, 121301.

Y. Horiike, T. Tanaka, M. Nakano, S. Iseda, H. Sakaue, A. Nagata, H.Shindo, S.

Miyazaki, and M. Hirose, J. Vac. Sci. Technol. A 8, 1844(1990).

F. Djamdji and R. Blunt, Mater. Sci. Eng. B 20, 77 (1993).

Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R.

A. Overview of Atomic Layer Etching in the Semiconductor Industry. J.Vac. Sci. Technol., A

,33, 020802.

Atomic Layer Etching: Rethinking the Art of Etch Keren J. Kanarik,* Samantha Tan, and

Richard A. Gottscho J. Phys. Chem. Lett. 2018, 9, 4814−4821

Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and

profile Control Journal of Vacuum Science & Technology A 34, 031304 (2016)

Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and

Ar/CHF3 Plasma Journal of Vacuum Science & Technology A 34, 01B101 (2016)

P. A. Maki and D. J. Ehrlich, Appl. Phys. Lett. 55, 91 (1989).

T. Meguro, M. Hamagaki, S. Modaressi, T. Hara, Y. Aoyagi, M. Ishii, and Y. Yamamoto,

Appl. Phys. Lett. 56, 1552 (1990).

Y. Aoyagi, K. Shinmura, K. Kawasaki, T. Tanaka, K. Gamo, S. Namba, and I. Nakamoto, Appl.

Phys. Lett. 60, 968 (1992).

W. T. Tsang, T. H. Chiu, and R. M. Kapre, Appl. Phys. Lett. 63, 3500 (1993).

T. Meguro, M. Ishii, H. H. M. Kodama, T. Hara, Y. Yamamoto, and Y. Aoyagi, Jpn. J.

Appl. Phys. 29, 2216 (1990).

K. K. Ko and S. W. Pang, J. Vac. Sci. Technol. B 11, 2275 (1993).

M. Ishii, T. Meguro, H. Kodama, Y. Yamamoto, and Y. Aoyagi, Jpn. J. Appl. Phys. 31, 2212

(1992).

T. Meguro, M. Ishii, K. Kodama, Y. Yamamoto, K. Gamo, and Y. Aoyagi, Thin Solid

Films 225, 136 (1993).

M. Ishii, T. Meguro, K. Gamo, T. Sugano, and Y. Aoyagi, Jpn. J. Appl. Phys. 32, 6178

(1993).

T. Meguro, M. Ishii, T. Sugano, K. Gamo, and Y. Aoyagi, Appl. Surf. Sci. 82–83, 193 (1994).

B. Y. Han, C. Y. Cha, and J. H. Weaver, J. Vac. Sci. Technol. A 16, 490 (1998).

S. Takatani and T. Kikawa, Appl. Phys. Lett. 65, 2585 (1994).

W. T. Tsang, T. H. Chiu, and R. M. Kapre, J. Cryst. Growth 135, 377 (1994).

G. C. DeSalvo et al., J. Electrochem. Soc. 143, 3652 (1996).

C. A. Bozada et al., U.S. patent 6,004,881 (21 December 1999).

K. Hennessy, A. Badolato, A. Tamboli, P. M. Petroff, E. Hu, M. Atat€ure, J. Dreiser,

and A. Imamoglu, Appl. Phys. Lett. 87, 021108 (2005).

F. Djamdji and R. Blunt, Mater. Sci. Eng. B 20, 77 (1993).

T.-W. Kim et al., IEEE Trans. Electron Devices 55, 1577 (2008).

K. K. Ko and S. W. Pang, J. Vac. Sci. Technol. B 11, 2275 (1993).

D. Buttari, S. Heikman, S. Keller, and U. K. Mishra, Proceedings of the IEEE Lester

Eastman Conference on High Performance Devices,Newark, DE, 2002.

A. Alian, C. Merckling, G. Brammertz, M. Meuris, M. Heyns, and K. De Meyer, ECS J.

Solid State Sci. Technol. 1, P310 (2012).

S. D. Park, C. K. Oh, J. W. Bae, G. Y. Yeom, T. W. Kim, J. I. Song, and J. H. Jang, Appl.

Phys. Lett. 89, 043109 (2006).

W. S. Lim, G. Y. Yeom, S. D. Park, Y. Y. Kim, and B. J. Park, 9th IEEE Conference on

Nanotechnology (NANO), Genoa, Italy, 2009.

O. L. Bourne, D. Hart, D. M. Rayner, and P. A. Hackett, J. Vac. Sci. Technol. B 11, 556 (1993).

T. Lill et al., 226th Meeting of the Electrochemical Society (ECS),Cancun, Mexico,

K. S. Min et al., IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, 2009.

S. D. Park, W. S. Lim, B. J. Park, H. C. Lee, J. W. Bae, and G. Y. Yeom, Electrochem.

Solid-State Lett. 11, H71 (2008).

J. B. Park, W. S. Lim, B. J. Park, I. H. Park, Y. W. Kim, and G. Y. Yeom, J. Phys. D 42,

(2009).

J. B. Park, W. S. Lim, S. D. Park, B. J. Park, and G. Y. Yeom, J. Korean Phys. Soc. 54, 976

(2009).

K. S. Min, S. H. Kang, J. K. Kim, Y. I. Jhon, M. S. Jhon, and G. Y. Yeom, Microelectron. Eng.

, 457 (2013).

Y. I. Jhon, K. S. Min, G. Y. Yeom, and Y. M. Jhon, Appl. Phys. Lett. 105, 093104 (2014).

E. Hudson, V. Vidyarthi, R. Bhowmick, R. Bise, H. J. Shin, G. Delgadino, B. Jariwala, D.

Lambert, and S. Deshmukh, AVS 61st International Symposium and Exhibition,

Baltimore, MD, 2014.

M. LaPedus, Semiconductor Engineering Magazine, 2014.

Y. Y. Kim, W. S. Lim, J. B. Park, and G. Y. Yeom, J. Electrochem. Soc. 158, D710 (2011).

W. S. Lim et al., Carbon 50, 429 (2012).

A. Dimiev, D. Kosynkin, A. Sinitskii, A. Slesarev, Z. Sun, and J. M. Tour, Science 331,

(2011).

Park, S. D.; Oh, C. K.; Lee, D. H.; Yeom, G. Y. Surface Roughness Variation during Si

Atomic Layer Etching by Cl

Adsorption Followed by an Ar Neutral Beam Irradiation. Electrochem. Solid-State Lett.

, 8, C177−C179.

Kalanyan, B.; Lemaire, P. C.; Atanasov, S. E.; Ritz, M. J.; Parsons, G. N. Using

Hydrogen to Expand the Inherent Substrate Selectivity Window During Tungsten Atomic

Layer Deposition. Chem. Mater. 2016, 28, 117−126.


Refbacks

  • There are currently no refbacks.